VHDL加法器

教材:VHDL硬件描述语言与数字逻辑电路设计(第三版) 软件:Quartus  II          熟悉软件基本操作,设计简单的加法器,并进行仿真实验。 library ieee; use ieee.std_logic_1164.all; entity my_and is port ( a,b : in std_logic; y : out std_logic ); end e
相关文章
相关标签/搜索