提升Vivado编译速度

转自:  https://www.cnblogs.com/lionsde/p/9785854.html

 我们都知道Vivado编译起来相当的慢,每次综合起来我就拿起了手机,这个方法可以提高编译速度,在VIVADO中一个run编译时支持的线程数如下表:(综合时一般是2线程)

在tcl脚本处输入如下命令:

设置多线程的命令为:  set_param general.maxThreads 4

读取当前线程数的命令: 为get_param  general.maxThreads