quartus和modelsim联合仿真详细教程

利用quartus和modelsim联合仿真的功能,实现功能产生波形。详细步骤如下:
1、编辑verilog HDL语言
本次拟实现组合逻辑功能,其代码如下:

此为一组合逻辑电路,其原理图可在quartus中绘制出:
在这里插入图片描述
在这里插入图片描述
此即为实现的功能。
2、编写激励文件
在编译v文件之可以利用quartus生成激励文件,
在这里插入图片描述
按照上述步骤既可以生成激励文件,在激励文件生成以后对其编辑,产生激励信号,
但激励文件一般不自动打开,在文件夹里面可以找到
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
此时注意文件类型应选择全部文件,才可以找到vt文件。
对激励文件编写,使其可以产生周期信号
在这里插入图片描述
3、联合仿真
1)选取仿真软件类型
在这里插入图片描述
在这里插入图片描述
选取软件modelsim
2) 设置modelsim软件路径
在这里插入图片描述
在这里插入图片描述
此路径为你的modelsim安装路径,
3)设置vt文件路径
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
此处的文件名为vt文件中的模块名
在这里插入图片描述
在这里插入图片描述
此处选取vt文件的路径,添加vt文件,
在这里插入图片描述
在此文件中添加vt文件,然后OK即可完成软件配置。
下面开始联合仿真:
在这里插入图片描述
运行此处可以自动打开modelsim,产生波形图。如果打开modeksim没有图形,则需寻找问题。
在这里插入图片描述
此时已完成仿真,查看结果
横轴标度为ps,看着很不舒服可以修改
右击wave窗下边框
在这里插入图片描述
在这里插入图片描述
可修改为us
结果如下:
在这里插入图片描述