chisel学习笔记2

第一个Chisel模块编程 一、直接上代码编程语言 // Chisel代码:定义一个模块 class Passthrough extends Module { val io = IO(new Bundle { val in = Input(UInt(4.W)) val out = Output(UInt(4.W)) }) io.out := io.in } 以上代码定义
相关文章
相关标签/搜索